芯片元器件
HOME
芯片元器件
正文内容
芯片炉 关注半导体设备国产化:说说扩散炉和离子注入机的那些事
发布时间 : 2024-10-06
作者 : 小编
访问数量 : 23
扫码分享至微信

关注半导体设备国产化:说说扩散炉和离子注入机的那些事

硅因具有金属和非金属双重特性而被作为半导体材料,但是纯硅中电子被共价键束缚,在电学上呈现出绝缘特性,因此实际应用极少。为了改善硅的电学性能,工艺上通过掺杂,引入其他元素来增强其导电性。掺杂主要分扩散和离子注入,扩散掺杂成本低,但是无法控制掺杂物浓度和深度,相比而言离子注入可以精确控制掺杂物浓度分布,但是成本相对较高。

扩散与扩散设备

扩散工艺是向硅材料中引入杂质的一种方法,在高温(通常为900-1200℃)条件下利用热扩散原理,将掺杂元素(一般采用液态源或固态源)按要求的深度掺入硅衬底中,使其具有特定浓度分布,达到改变硅片电学性能和形成PN结的目的,在实际工艺中主要有硼掺杂、磷掺杂和砷掺杂。硼掺杂是指在硅片中扩散掺入三价元素硼(B)形成P型半导体,载流子是空穴,常用的硼源是乙硼烷、三氟乙硼;磷掺杂和砷掺杂是在硅片中引入五价元素磷或砷,形成N型半导体,载流子是自由电子,常用的磷源或砷源是砷化氢和磷化氢。

扩散工艺中使用的设备是扩散炉,基本结构有净化工作室、主机箱、气源柜和控制柜四大部分,常用的是卧式扩散炉,少量有立式扩散炉。卧式扩散炉主要用于200mm及以下硅片,其特点是加热炉体、反应管和承载硅片的石英舟呈水平放置,因而具有片间均匀性好的工艺特点。

资料来源:卧式扩散炉原理,公开资料整理,阿尔法经济研究

常见的卧式扩散炉的主要技术指标有:工作温度范围600-1300℃,恒温区长度600-1100mm,恒温区精度±0.5℃,最大可控升温速率不小于15℃/min等。

厂商方面,北方华创HORIS D8572A卧式扩散/氧化系统 主要用于集成电路、功率器件和MEMS领域的常压(微正压)闭管干氧氧化、湿氧氧化、扩散、退火常压(微正压)闭管干氧氧化等工艺,可靠性指标MTBF≥900小时,可靠性好。

无锡松煜科技是一家从事光伏设备及半导体工艺设备的专业制造商, 在太阳能领域公司生产有ALD原子层沉积、LPCVD/PECVD、低压管式高温扩散炉、退火炉/氧化炉等设备,在半导体领域公司生产有低压管式高温扩散炉、IBS离子注入机、扩散系统和PECVD/LPCVD等设备,其中扩散炉主要用于2-8英寸的集成电路IC、分立器件、光电子器件和太阳能电池等的扩散、氧化、退火等工艺:

资料来源:卧式扩散炉外观,公开资料整理,阿尔法经济研究

立式扩散炉主要用于200/300mm晶圆制造中,立式扩散炉的结构特点是加热炉体、反应管及承载硅片的石英舟均垂直放置,具有片内均匀性好、自动化程度高、系统性能稳定等特点,可以满足大规模集成电路生产线要求。

立式扩散炉的主要结构有硅片装卸端口、存储系统、微环境水平层流净化系统、自动传输系统、热处理反应室系统等,其中热处理反应系统包括加热炉体、反应腔室、石英舟及组件、石英舟升降装置等,用于实现晶圆的热处理工艺和升降石英舟的功能。

立式扩散炉适用的工艺有干氧氧化、氢氧合成氧化、二氯乙烯氧化、氮氧化硅氧化等氧化工艺,以及二氧化硅、多晶硅、氮化硅和原子层沉积等薄膜生长工艺,也可应用于高温退火、铜退火及合金等工艺。性能指标上立式扩散炉的工艺范围300-1200℃,恒温区温度均匀性不低于±0.5℃,恒温区长度约为800-1000mm,MTBF≥1200小时等。

北方华创的THEORIS302/201立式氧化炉主要用于200/300mm晶圆在28nm及以上节点的集成电路、先进封装和功率器件领域,主要适用于干氧氧化、湿氧氧化、氮氧化硅氧化等工艺:

资料来源:北方华创Theoris302/201立式氧化炉,公司官网整理,阿尔法经济研究

离子注入与离子注入机

随着半导体特征尺寸的缩小,各向同性的掺杂工艺使得掺杂物很可能扩散到屏蔽氧化层另一侧,导致相邻区域之间发生短路,因此除了某些特殊场合,扩散工艺逐渐被离子注入工艺取代。

离子注入是将离子束加速到keV至MeV的量级,然后注入到硅等固体材料表层内,达到改变材料表层物理特性的工艺,注入的离子则有硼离子、磷离子、砷离子等。与传统的扩散工艺相比离子注入一是通过调节注入的能量和剂量改变注入离子的深度和浓度,可以获得衬底内部比表面浓度更高的杂质离子分布;二是可以按照掩模图形所需位置获得掺杂,有利于提高集成度;三是利用扫描方法在硅片上顺次打入离子,突破扩散工艺中固溶度限制,可以获得更高的浓度、更浅的结深和更均匀的分布。

离子注入机是半导体制造工艺中最主要的掺杂设备,具有剂量均匀性与重复性良好、横向扩散较小的优点,也克服了热掺杂工艺的诸多限制,可以满足浅结、低温和精确控制等要求,也可以降低制造成本和功耗。

离子注入机有中束流离子注入机、大束流离子注入机和高能离子注入机 ,其中中束流离子注入机一般由离子源、分析器、加速管、平行透镜和靶室等组成,粒子能量范围为keV至1MeV,主要用于半导体制造中的沟道、阱和源漏等工艺:

资料来源:中束流离子注入机结构图,公开资料整理,阿尔法经济研究

大束流离子注入机相比中束流离子注入机具有更高的束流和较低的能量,能量较低时束流为mA量级,较高时则达到30mA以上。常用的大束流离子注入机为低能大束流离子注入机,适用于大剂量及浅结注入,如源漏扩展区注入、源漏注入、栅极掺杂等工艺,也是目前半导体制造中市场占有率最高的离子注入机:

资料来源:大束流离子注入机结构图,公开资料整理,阿尔法经济研究

大束流离子注入机中离子源和引出电极可以产生均匀的宽带等离子束流,通过离子束传输结构设定的束流路径可以高效、离子注入机对束流均匀性,通过偏转和减速一体化集成技术可以提高低能离子束传输效率,消除能量污染等。

高能离子注入机的单电荷离子能量可达1MeV以上,更高能量离子可以通过多电荷态离子加速来实现。在高能离子注入机中离子加速可以通过射频加速和直流加速来实现,其中直流加速是在大束流离子注入机和中束流离子注入机中采用的离子加速方式,具有能量散度较小的优点,但是很难实现较高束流能量。相比直流加速,射频加速稳定性和可靠性较高,目前也是市场中主流的离子注入机技术:

资料来源:高能离子注入机结构图,公开资料整理,阿尔法经济研究

常见的高能离子注入机主要由射频桶、电感线圈、电极和四极透镜等组成,电极上加有13.56MHz的信号,当离子在电极前端时使电极上的电压为负,可将离子加速拉入电极内,反之将离子加速推出,通过不同加速单元加速或减速控制便可得到预期离子能量。高能离子注入机主要用于逻辑/存储芯片、CIS器件、功率器件等。离子注入机的部分性能指标如下:

资料来源:公开资料整理,阿尔法经济研究

离子注入机厂商

离子注入机行业竞争壁垒较高,行业集中度也较高,美国应用材料和Axcelis公司 合计占到全球70%以上的份额(2018年数据),其中应用材料Varian VIISta系列离子注入机广泛应用于逻辑芯片、存储芯片等的离子注入工艺。笔者注意到成立于1987年的法国IBS也一直致力于离子注入领域的研发、设计制造与服务,其生产的IMC200型离子注入机主要用于6英寸及以下晶圆的离子注入,但是与应用材料技术差距明显。

国内离子注入机企业主要是北京中科信电子装备有限公司和上海凯世通半导体 。北京中科信电子装备有限公司成立于2003年6月,主要从事离子注入机、快速退火炉等关键半导体制造设备的研发与生产,公司相继承担了100nm/8英寸大角度离子注入机、90-65nm/12英寸大角度离子注入机等国家重大专项,但是由于该公司没有官网,相关设备信息无法汇总。

上海凯世通半导体是上市公司万业企业(600641.SH)的全资子公司, 2009年4月在上海张江成立,由国际离子注入技术协会终身常务理事陈炯博士牵头成立。目前公司有iPV系列光伏离子注入机和IonSolar系列半导体用离子注入机。IonSolar离子注入机拥有连续送片功能,单机产能达到1800片/小时,占地面积22平方米,成本仅为同类产品的60%,具备成本优势。有报道称2019公司低能大束流离子注入机α机开始调试,关键指标超越国际竞争对手,目前正根据客户工艺要求进行离子注入晶圆验证。凯世通采取"领先一步"策略,着力研制16nm及以下节点的FinFET离子注入机,并针对研制低能大束流离子注入机所需重要解决的关键技术和技术难题,建立起相应的研发平台:

资料来源:凯世通半导体离子注入机外观,凯世通官网整理,阿尔法经济研究

在太阳能领域主要厂商有上海凯世通半导体、美国Inte-vac公司和日本真空技术,AMOLED面板用离子注入机则被日本日新垄断,鉴于篇幅及讨论重点,再次不再展开。最后啰嗦一句,万业企业是A股唯一的离子注入机上市公司,与从事光刻涂胶/显影设备的芯源微类似具备稀缺性。

原创声明:本文作者系阿尔法经济研究原创,欢迎个人转发,谢绝媒体、公众号或网站未经授权转载。

免责声明:阿尔法经济研究发布的内容仅供参考,不构成任何投资建议。

半导体设备行业研究:行业处于国产替代初期,需求强劲

(报告出品方/作者:长城国瑞证券,黄文忠、张维娜)

1 半导体行业处于景气周期,推动设备迎风而上

1.1 设备是奠定产业发展的基石

根据 Wind 数据,2021 年全球集成电路的市场规模为 4608 亿美元,占半导体规模的83%。根据中国半导体行业协会统计,2021 年中国集成电路设计、制造、封测三大环节市场规模分别为 4519/3176/2763 亿元,分别占比 43%/30%/27%。 半导体设备主要应用于集成电路的制造和封测环节,可细分为晶圆制造设备(前道设备)和封装、测试设备(后道设备)。SEMI 预估 2021 年全球晶圆制造设备、封装设备、测试设备市场规模分别为 880.1/69.9/77.9 亿美元,分别占比 86%/7%/7%,细分应用来看,逻辑代工占据晶圆制造设备的主要份额,达 493 亿美元,占比 56%。

晶圆制造过程大致可分为 7 大板块:氧化扩散(Thermal Process)、薄膜沉积(DielectricDeposition)、光刻(Photo-lithography)、刻蚀(Etch)、离子注入(Ion Implant)、抛光(CMP)、以及金属化(Metalization)。薄膜沉积、光刻和刻蚀是前道晶圆制造的三大核心工艺,制造过程反复循环多遍,涉及上千道加工工序。

光刻、刻蚀、薄膜沉积与封测设备作为核心设备,在设备资本开支中占比较高。根据集成电路制造领域典型资本开支结构来看,设备投资占比约为 70%-80%,其中用于芯片制造和封装测试环节的设备投资占主要部分。在芯片制造环节中,核心设备光刻、刻蚀(含去胶)、薄膜沉积及封测合计占比约 68%,核心设备国产化是我国实现半导体制程国产化替代的关键。

1.2 半导体行业延续高景气带动设备稳定增长

根据 SIA 数据,2021 年全球半导体销售额为 5559 亿美元,同比增长26%,其中,亚太、美洲、欧洲、日本销售额分别为 3434/1188/471/436 亿美元。2021 年中国半导体销售额为1925亿美元,同比增长 27%,占全球总销售额 35%,为全球半导体增长主要驱动力。

中国大陆晶圆厂占中国集成电路市场份额呈上升趋势。2018 年,中国大陆企业占中国集成电路(以下简称 IC)市场份额为 4.19%。根据中国半导体行业协会数据,2021 年中国IC市场规模约为 1580 亿美元,我们统计大陆前四家晶圆厂 2021 年营收合计约95 亿美元,占中国IC市场规模 6.03%。依托于我国较大的芯片需求,我国本土芯片企业市场规模占比将保持持续上行趋势,带动设备端稳定增长。

中国大陆半导体设备行业发展迅速,速度远超全球增速。根据SEMI 数据,近年来全球半导体设备规模持续增长,2021 年全球半导体设备市场规模为1026 亿美元,同比增长44%,预计 2022 年市场规模将有 11%左右的增速,约 1140 亿美元。2021 年中国大陆半导体设备销售额为 296 亿美元,同比增长 56%,占全球半导体设备销售额的 28.85%。中国大陆半导体设备行业增速远超全球平均水平。

2 终端缺芯严重,晶圆厂积极扩产,2021-2022 年为设备需求高峰期

2.1 晶圆厂满产,接近零库存、订单交付周期不断拉长

晶圆厂满产,接近零库存。2018 年至 2019 年初,受晶圆厂持续扩产影响,存储器供过于求,带动存储器价格下滑,加上智能手机、PC 以及服务器等终端产品需求下降,使得全球半导体行业规模在此期间下滑。2019 年起,晶圆代工厂的产能利用率持续攀升,我们从中芯国际的产能利用率来看,2019年至2021年,产能利用率由97%持续提升达到满产,2021Q2高达100.4%;从半导体消费者库存中位数来看,半导体产品的库存中位数从2019 年的40 天左右下降到2021年的不到 5 天。

订单交货周期进一步延长,“缺芯”状态持续。根据美国电子元件分销商Sourcngine数据,半导体通用产品平均交货周期目前仍在不断拉长,截至 2022 年2 月,16 位处理器的通用产品交付周期平均为 44 周,比 2021 年 10 月增加了 15 周;同期,电源管理芯片的平均交付周期为37 周,增加了 9 周。

2.2 “缺芯”主要为终端产品载芯量高增长所致

根据全球半导体设备季度销售增速来看,行业每隔 3-4 年会呈现周期性变动趋势,当下游终端产品技术迭代更新,市场出现需求激增带动资本向上游晶圆厂涌入,厂商加大资本开支,行业进入景气周期,当市场供大于求时,行业增速放缓。

根据 Gartner 数据,相比 2020 年,2021 年半导体下游应用端中计算机、无线应用依旧占据较大份额,分别为 29.3%、27.4%;物联网&消费 IC、汽车 IC 在下游应用端占比提升较大,分别由 2020 年的 10.5%/8.3%增长至 2021 年的 11.4%/9.5%。

根据 SEMI 数据,2000 年至 2018 年,尺寸大型化是半导体硅片发展趋势。12吋硅片出货面积显著增长,由 2000 年的 94 百万平方英寸扩大至 2018 年的8005 百万平方英寸,市场份额从 1.69%大幅提升至 2018 年的 63.83%,成为半导体硅片市场最主流的产品。根据我们的统计,扩充 12 吋晶圆产线为目前大部分晶圆厂主要扩产选择。

SUMCO 预测,2021-2025 年全球 12 吋晶圆需求 CAGR 为10.2%,推动12 吋晶圆增长的终端应用主要来自智能手机、数据中心、人工智能、汽车、无线通讯。

智能手机升级,单位用硅量增加带动芯片需求提升。根据观研天下预测,2018-2025年全球智能手机处于存量市场,增长率在 2021 年至 2025 年呈下滑趋势。与此同时,根据SUMCO预测,尽管 2021-2025 年智能手机销量增速呈下滑态势,但在此期间智能手机、5G流量对12吋晶圆需求的 CAGR 分别为 9.4%、26%,主要原因为智能手机技术更新迭代,智能化升级单位用硅量相应增长所致。根据集微咨询数据,5G 手机的技术升级带动逻辑芯片、存储芯片和CIS图像传感器等出货量增加,在 5G 手机中,摄像头的数量增加带动12 吋晶圆需求量增长,48M像素摄像头对 12 吋晶圆平均需求量是 12M 像素摄像头的 5 倍。据SUMCO统计数据,每台5G手机的半导体硅片用量为 2.2 平方英寸,是 4G 手机的 1.7 倍。

数据中心的关键芯片主要包含 CPU、GPU 以及 DPU(数据处理单元),根据科智咨询预测,2022 年我国数据中心市场规模将达到 3201 亿元,同比增长29%。

人工智能 IC 市场规模处于上升阶段。根据亿欧智库数据,随着技术的成熟以及数智化转型升级,中国人工智能核心产业市场规模将持续平稳增长,2025 年将达到4000 亿元左右;AI芯片需求也将持续增长,类脑等新型芯片预计最早于 2023 年进入量产,因此2024 及2025年或有较大增长,预计市场规模将于 2025 年达到 1780 亿元。

单位车用 IC 搭载数量大幅增长是驱动汽车 IC 需求增长的因素之一。2021 年以来,新能源汽车产量持续攀升,2022 年 4 月中国新能源汽车销量为 30 万辆,占汽车总销量的25%,2021年同期占比为 9%。预计 2021 年汽车 IC 出货量为 524 亿颗,同比增长30%,约为2011年176亿颗的 3 倍。 根据 Frost&Sullivan 预测,2022 年国产燃油汽车平均芯片搭载数量为934 颗/辆,国产新能源汽车平均芯片搭载数量为 1459 颗/辆,相比 2017 年分别增长61%、79%。同时,新能源汽车平均芯片搭载量是燃油车的 1.56 倍,随着新能源汽车占比提升,车用IC 需求也将持续增长。

2.3 晶圆厂积极扩充产能,驱动设备需求提升

下游资本开支持续攀升,本地晶圆厂积极扩产带来设备端需求提升。2022 年以来,芯片市场依旧紧缺,半导体行业资本开支持续攀高以满足扩产需要。全球晶圆代工龙头台积电在2021全年资本开支 300 亿美元,并在 2022 年指引中上修资本开支至400-440 亿美元,同时台积电表示 2022 年代工行业将增长 20%。国内晶圆代工龙头中芯国际2021 年资本开支45 亿美元,并在2022 年指引中表示资本开支将增至 50 亿美元。IC Insights 预测,2021 年全球半导体行业资本开支规模约为 1539 亿美元,预计今年将超过 1904 亿美元,同比增长24%。我们按半导体资本开支中设备投资占 70%~80%比例估算,2022 年全球半导体行业设备端投资规模约在1332.8~1523.2 亿美元之间。

根据主要晶圆厂目前的融资扩产计划不完全统计,中国大陆预计扩增12 吋149.9万片/月,扩增 8 吋 33.5 万片/月。其中,将于 2022 年内投产的 12 吋合计约为78 万片/月,8吋约为30.5万片/月;预计 2022 年之后投产的 12 吋合计 71.9 万片/月,8 吋3 万片/月。随着扩产,产能释放,行业缺芯的内生因素将得到缓解,此外,大部分晶圆厂产能释放时间居于2022-2023年之间。根据晶圆厂扩产一般需要 1-2 年,设备采购于扩产前期开始,我们预计半导体设备行业受益下游晶圆厂大规模融资扩产的红利主要集中在 2021-2022 年。

3 国产半导体设备多环节步入产业化替代阶段

半导体设备市场高度集中,海外龙头处于垄断地位。半导体设备技术壁垒、客户认知度壁垒以及市场壁垒三高,研发周期长难度大,故主要市场份额集中在少数头部企业中,并且呈持续增长趋势。根据统计,2021 年行业 CR5 约为 84%,较 2019 年的65%显著提高。

分规模来看,薄膜沉积设备、刻蚀设备、光刻机和量测设备在半导体设备规模中占比较高,分别约 27%/22%/20%/13%;清洗设备、CMP 分别占比 4%左右;离子注入设备、热处理设备以及涂胶显影设备占比约 3%;去胶设备占比约 1%。

过去我国半导体行业主要为被动的接受国际垄断企业定价,核心设备依赖进口,产业链多环节受国外厂商“卡脖子”。2018 年以来,中美贸易摩擦加速了国产设备的研发进展,多环节进入产业化替代阶段。 我国热处理设备主要有屹唐股份、北方华创,其中,北方华创的THEORIS 302/FLOURIS201 立式氧化炉可以覆盖 8 吋、12 吋 28nm 产线,国产化率 20%左右;沉积环节北方华创和拓荆科技已实现 28nm/14nm 技术领域的技术突破;CMP 设备国内厂商主要有华海清科和北京烁科精微电子;光刻环节上海微电子在 90nm 以上制程光刻机实现国产化;清洗环节国产替代率已达 20%;去胶设备已基本实现国产化替代,国产化率达 80%以上。(报告来源:未来智库)

热处理设备:市场规模较为稳定,预计 2025 年达到 19.9 亿美元

热处理工艺应用于半导体制程的氧化、扩散和退火制程,所包含设备为卧式炉、立式炉以及快速升温炉(RTP)。热处理设备合计占半导体制造设备份额约3%。根据Gartner 数据,2020年全球半导体热处理设备市场规模为 15.4 美元,其中快速热处理设备市场规模为7.2亿美元,氧化/扩散设备市场规模约 5.5 亿美元,栅极堆叠(Gate Stack)设备市场规模为2.7 亿美元。2025年热处理设备市场规模有望达到 19.9 亿美元。

目前,我国的热处理国产化率为 20%左右,氧化扩散市场主要由外资厂商占据,根据Gartner数据,AMAT、TEL、日立国际全球市场合计占比约 70%;全球热处理设备厂商主要被5家企业寡头垄断,其中 AMAT 占据绝对领先地位,2020 年市场份额达到70%,我国屹唐股份市场份额排在第二位,占比 11%,其他三位主要为国际电气,维易科和斯库林,分别占比9%、6%、4%。我国热处理设备主要企业有屹唐股份、北方华创,其中,北方华创的THEORIS302/FLOURIS 201 立式氧化炉可以覆盖 8 吋、12 吋 28nm 及以上的集成电路、先进封装、功率器件。屹唐股份主要热处理产品为快速热处理(RTP)以及毫秒级快速热处理(MSA),产品已覆盖台积电、三星电子、中芯国际、华虹集团、长江存储等国内外知名厂商。

薄膜沉积设备:12 吋产线扩产带动薄膜沉积设备需求量将大幅增长

薄膜沉积是半导体制程中重中之重的环节,是一连串涉及原子的吸附、吸附原子在表面扩散及在适当的位置下聚结,以渐渐形成薄膜并成长的过程。分为原子层沉积(ALD)、物理式真空镀膜(PVD)、化学式真空镀膜(CVD)等,其中 ALD 是目前最先进的薄膜沉积技术,也是CVD的一种。CVD 即使用金属卤化物、有机金属、碳氢化合物等热分解,氢还原在高温下发生化学反应以析出金属、氧化物、碳化物等材料;PVD 是将原子从原料靶材上溅射出来,利用物理过程实现物质转移,沉积形成导电电路。

根据 Maximize Market Research 数据统计,到 2025 年,全球薄膜沉积设备市场规模将达到340 亿美元,2017-2025 年均复合增长率为 95.7%,行业景气度持续上行。

据 Gartner 统计,2019 年全球半导体薄膜沉积设备中CVD、PVD、ALD占比分别为60%/19%/11%,其中,CVD 设备主要被 AMAT(应用材料)、Lam Research(拉姆研究)以及TEL(东京电子)垄断,合计占比 70%;PVD 设备主要被 AMAT 寡头垄断,占比为85%;TEL和 ASML 为 ALD 设备主要供应商,合计占比 60%。 我国的薄膜沉积设备代表厂商有北方华创与拓荆科技,其中,北方华创已实现PVD、CVD以及 ALD 设备在 28nm/14nm 技术领域的突破,拓荆科技主要涉及28nm/14nm技术领域的CVD与 ALD 设备。

根据中芯国际 8 吋与 12 吋产线所需薄膜沉积设备需求量对比,12 吋产线比8 吋产线所需CVD/PVD 设备数量均大幅增长,随着中国大陆 12 吋晶圆产线扩产逐步落地,将带动薄膜沉积设备需求量增长。

CMP 设备:本土设备厂商不断取得突破,国产替代进程加快

CMP(化学机械研磨)是一种表面全局平坦化技术,CMP 系统主要由抛光设备、抛光液和抛光垫三个部分组成,它通过夹持硅片的研磨头和研磨垫之间的相对运动来平坦化硅片表面,在研磨垫和硅片之间有一定流量的研磨液,并通过研磨头的不同区域同时施加不同压力来改善区域研磨速率,从而保证硅片表面的均匀性。

国 CMP 设备国产化水平较低,目前全球 CMP 设备市场主要被AMAT(美国应用材料)、Ebara(日本荏原)所垄断,合计占比超过 90%,国内 CMP 设备厂商主要有华海清科和北京烁科精微电子,华海清科是国内唯一一家实现 12 英寸 CMP 设备量产的厂商,其12 英寸系列CMP设备(Universal-300 型、Universal-300Plus 型、Universal-300Dual 型、Universal-300X型)在国内已投产的 12 英寸大生产线上实现了批量产业化应用,制程方面,已实现28nm量产,14nm工艺制程正处于验证阶段。烁科精微电子研发制造的 8 英寸 CMP 设备已搬入中芯国际(天津)FAB 7 T3 产线。 根据 SEMI 数据,2020 年中国大陆地区 CMP 设备市场份额为4.3 亿美元,通过测算华海清科 CMP 设备 2017-2020 年市场份额可以看到,华海清科市占率近年来提升迅速,由2017年的1.00%提升至 2020 年的 8.76%。

涂胶/显影设备:芯源微多品类产品技术突破,进入产线验证阶段

涂胶显影设备是光刻工序中与光刻机配套使用的涂胶、烘烤及显影设备,包括涂胶机、喷胶机和显影机。根据使用方式不同可分为单独使用(Off Line)和联机作业(In Line)。涂胶/显影机作为光刻机的输入(曝光前光刻胶涂覆)和输出(曝光后图形的显影)设备,主要通过机械手使晶圆在各系统之间传输和处理,从而完成晶圆的光刻胶涂覆、固化、显影、坚膜等工艺过程,是集成电路制造过程中不可或缺的关键处理设备。

近年来随着全球晶圆厂扩产进程的推进,全球前道涂胶显影设备市场规模整体稳步增长。据统计,全球前道涂胶显影设备市场规模由 2019 年的 17.85 亿美元增长至2020 年的19.05亿美元,预计 2023 年将达到 24.76 亿美元,年均复合增长率达 8.52%。中国大区(含中国台湾地区)前道涂胶显影设备市场规模预计由 2016 年的 8.57 亿美元增长至2023 年的10.26 亿美元,年均复合增长率有望达 7.78%。

全球涂胶显影设备行业集中度较高,CR3 超过 90%。中国涂胶显影设备国产化率仅为4%,芯源微在涂胶显影设备方面已成功打破国外厂商垄断。TEL 在中国大陆的市占率高达91%,其次为 Screen(迪恩士),占比接近 5%。在集成电路前道晶圆加工环节,包括i-line、KrF、ArF等制程工艺,作为国产化设备已逐步得到验证及应用,实现小批量替代;在集成电路制造后道先进封装、化合物、MEMS、LED 芯片制造等环节,国内厂商主流机型已广泛应用在国内知名大厂,成功实现进口替代。

光刻机:是最核心且技术壁垒最高的设备

光刻机是半导体制程中最核心的设备,它采用类似照片冲印的技术,把掩膜版上的精细图形通过光线的曝光印制到硅片上。光刻工艺主要流程有涂胶、软烘、对准曝光、显影、坚膜烘焙、刻蚀、去除清洗等一系列步骤。所涉及到的主要设备有光刻机、涂布显影设备、量测设备和清洗设备,其中最核心且技术壁垒最高的部分是光刻机。光刻机是半导体产业中最关键设备,光刻工艺决定了半导体线路的线宽,同时也决定了芯片的性能和功耗。光刻机分为无掩模光刻机和有掩模光刻机两大类。自光刻机面世以来,光刻设备已历经四次技术演变。光刻设备所用的光源由最初的 g-line、i-line、DUV(KrF,ArF,ArFi)到 EUV,EUV 光刻机可应用在7-22nm及 7nm 以下的制程中。

目前全球光刻机主要市场被 ASML(荷兰阿斯麦)、Canon(日本佳能)和Nikon(日本尼康)三家供应商包揽,尤其高端光刻机被荷兰 ASML 厂商所垄断,ASML 在EUV领域占比高达 100%。根据 ChipInsights 数据,2021 年 ASML、Nikon 和 Canon 前道制程光刻机出货量分别为 309/29/140 台,合计 478 台,同比增长 15.7%。营收方面,三家厂商2021 年营收分别为854/112/110 亿元,合计 1076 亿元,同比增长 8.9%。以 2021 年全球半导体设备市场规模1026亿美元(SEMI 数据),光刻机占比 20%估算,2021 年全球光刻机市场规模约为205亿美元,约 1306 亿元人民币(汇率 6.373,2021 年 12 月 31 日),前三家厂商市场占比合计约为82%。

去胶设备:我国已基本实现去胶设备国产化,国产率超 90%

在光刻工艺中,晶圆表面被均匀覆盖光刻胶薄层后在光刻机中进行曝光。在刻蚀/离子注入等图形化工艺完成后,通过去胶工艺进行完全清除。去胶工艺可分为湿法和干法两类,湿法去胶工艺使用溶剂对光刻胶等进行溶解;干法去胶工艺可视为等离子刻蚀技术的延伸,主要通过等离子体和薄膜材料的化学反应完成,是目前的主流工艺。

我国在去胶领域的国产率已经超过 90%,基本实现了去胶设备国产化替代。根据Gartner数据,2020年全球集成电路制程中干法去胶设备市场规模约为538亿美元,并预测将继续以7.6%左右的年复合增长率增长至 2025 年的 699 亿美元。主要供应商包括PSK(韩国比思科)、Lam、Hitachi(日本日立)、屹唐股份及 Ulvac(美国爱发科)。屹唐股份在国内半导体去胶设备领域中占主导地位,此外,芯源微和中电科 45 所也可以生产去胶机,目前我国在去胶领域的国产率已经超过 90%,基本实现了去胶设备国产化替代。

刻蚀机:先进制程及芯片微缩带动刻蚀机用量提升

刻蚀制程位于薄膜沉积和光刻之后,目的是利用化学反应、物理反应、光学反应等方式将晶圆表面附着的不必要的物质去除,过程反复多遍,最终得到构造复杂的集成电路。按照刻蚀的工艺不同可以分为干法刻蚀和湿法刻蚀。干法刻蚀按照材料不同分为CCP(介质刻蚀)、ICP(硅刻蚀)及金属刻蚀;按不同材料所需刻蚀的技术特点,可分为CCP(电容耦合等离子刻蚀)及 ICP(电感耦合等离子刻蚀),其中 CCP 技术能量较高、但可调节性差,适合刻蚀较硬的介质材料(包括金属);ICP 能量低但可控性强,适合刻蚀单晶硅、多晶硅等硬度不高或较薄的材料,二者市场份额相近。

湿法刻蚀是利用化学方式,将刻蚀材料浸泡在化学溶液中进行腐蚀从而去除不必要的物质得到集成电路。由于湿法刻蚀过程中会造成材料的横向纵向同时腐蚀,使得干法刻蚀机在刻蚀设备市场中占据主流地位,占比高达 90%。

先进制程及芯片微缩带动刻蚀机用量提升。晶圆制造向 7nm、5nm更先进的工艺发展,除了采用昂贵的 EUV 光刻机之外,14nm 及以下的芯片制造多数通过多重模板工艺来实现制程微缩,即通过多次沉积、刻蚀等工艺,实现 10nm 线宽的制程,相较于14nm所需使用的64次刻蚀步骤,其刻蚀步骤为 117 次,到 5nm 制程刻蚀步骤将是 14nm 的2.5 倍及以上。先进制程带动刻蚀机用量提升,行业规模稳步增长。

全球刻蚀设备领域中,硅基刻蚀主要被 Lam 和 AMAT 垄断,介质刻蚀主要被TEL和Lam垄断。根据 Gartner 数据显示,2020 年全球刻蚀设备市场规模约为137 亿美元,其中,介质刻蚀设备市场规模约 60 亿美元,导体刻蚀设备市场规模约 76 亿美元。分厂商来看,Lam独占47%的市场份额,TEL 和 AMAT 分别占据 27%和 17%的市场份额。Gartner 预计2025 年全球刻蚀设备市场规模将达到 181 亿美元。

国内主要刻蚀机厂商有中微公司、北方华创以及屹唐股份。中微公司刻蚀设备包含CCP与ICP,目前 CCP 已进入 7-5nm 的晶圆生产线,在 5nm 以下也取得可喜进展。2021 年,中微公司共生产付运 CCP 刻蚀设备 298 腔,产量同比增长 40%;生产付运ICP 设备134 腔,产量同比增长 235%;北方华创刻蚀机主要为 ICP,覆盖 8 吋、12 吋 55-28nm 制程;屹唐股份干法刻蚀设备可用于 65nm~5nm 逻辑芯片、1y~2x nm 系列 DRAM 芯片以及32 层~128 层3D闪存芯片制造中若干关键步骤的大规模量产。

离子注入:国产离子注入机产线验证成功,2022 年订单高增长,国产进程加速

离子注入机是离子注入工艺的核心装备,主要用于光伏及集成电路制造中的掺杂(Doping)工艺,即将特定元素(B、P、As 等)以离子形式加速到预定能量后注入至半导体材料(Si、Ge、SiC 等)之中,并按预定方式改变材料电性能,制成包括晶体管在内的集成电路基本单元。主要由气体系统、电机系统、真空系统、控制系统、射线系统五大系统构成。离子注入机具有两个最基本的参数,为离子能量和注入剂量(单位面积注入离子个数),其中离子能量是决定掺杂深度的参数,而注入剂量是决定掺杂浓度的参数,基于能量和剂量两个基本参数,应用于集成电路制造的离子注入机主要分为三种机型,即大束流离子注入机、中束流离子注入机和高能离子注入机。其中,大束流离子注入机为行业主流机型,占比约为61%,中束流离子注入机与高能离子注入机分别占比 20%、18%。

根据 SEMI 数据,2021 年全球半导体设备销售额为 1026 亿美元,中国大陆半导体设备销售额为 296 亿美元,以离子注入机在半导体设备中占比 3%计算,2021 年全球、中国大陆离子注入机市场规模分别为 30.8、8.9 亿美元。综合来看,目前市场上离子注入机主要由美国和日本的厂商垄断,主要厂商有国外的 AMAT、Axcelis(美国亚舍立)、Nissin(日本日新离子)以及我国的凯世通、中科信。其中,凯世通半导体离子注入设备 2021 年产线验证顺利,2022年初至 4 月 8 日,已批量出售多台 12 吋离子注入机,合计订单超人民币6.8 亿元,由此可见,离子注入机产线验证成功后,产品放量,国产化进程加快。

清洗设备:国产替代率约 20%

清洗步骤贯穿整个半导体制程,用于去除半导体硅片制备、晶圆制造和封装测试每个步骤中可能存在的杂质、避免杂质影响芯片良率和芯片产品的性能。目前,随着芯片制程工艺技术节点的不断提高,对每一步骤晶圆表面的污染物和残留物的要求日益提升。半导体清洗工艺包括干法清洗和湿法清洗,其中,湿法清洗为主要清洗方式,占比约90%,其采用特定的化学药液和去离子水,对晶圆表面进行无损伤清洗,主要包括 RCA 清洗法、超声清洗等。干法清洗指不使用化学试剂的清洗技术,主要包含等离子清洗,气相清洗等。

根据 Gartner 数据,2020 年全球半导体清洗设备市场规模为25.39 亿美元,预计到2024年,全球半导体清洗设备市场规模将增至 31.93 亿美元。2020 年全球半导体清洗设备主要被日本DNS(迪恩士)、TEL、Lam 和 SEMES(韩国细美事)等企业主导,合计占比约98.7%,产业集中度较高。 中国大陆主要的半导体清洗设备公司主要有盛美上海、北方华创、芯源微、至纯科技等,其中,盛美主要产品为单片清洗设备,北方华创主要产品为单片及槽式清洗设备,芯源微主要涉及单片式刷洗领域;至纯科技具备生产 8-12 英寸高阶单晶圆湿法清洗设备和槽式湿法清洗设备。受益于中国大陆半导体行业的崛起,半导体清洗设备不断进入晶圆厂产线,国产替代率约20%。

4 行业重点公司收入和销量已上规模,研发高强度投入驱动发展

国内半导体设备厂商销量大幅增长,营收颇具规模。伴随行业处于景气周期,叠加国产化替代需求,我国半导体设备厂商 2021 年业绩实现较大突破,根据部分已披露产销量数据的设备公司公告,可以看出行业销售量已具规模,较上年实现大幅增长,大部分设备销售量同比增长100%以上,其中,芯源微的单片式湿法设备以及至纯科技半导体设备出货量均实现200%以上的增长。从营收规模来看,数家厂商半导体设备板块营收规模已超过十亿元,进入规模化创收阶段。

研发支出创新高,研发高强度投入驱动长期发展。半导体设备行业具有高技术壁垒,研发周期长,难度大的特点,持续大规模研发投入是构建核心竞争力的基础,也是驱动长期发展的根本。根据我们统计的 7 家半导体设备公司研发支出测算,2021 年7 家公司研发支出合计为 44.56 亿元,同比增长 65.28%,其中,研发支出较高的为北方华创、中微公司、至纯科技及盛美上海,分别为 28.92/7.28/3.02/2.78 亿元。与此同时,各公司研发强度(研发支出/营业收入)保持在较高水平,其中,北方华创与中微公司最高,分别为29.87%、23.42%,万业企业为 7.99%,其余企业均保持在 10%以上。(报告来源:未来智库)

5 重点公司分析

北方华创作为半导体设备平台化公司,产品主要涵盖等离子刻蚀、物理气相沉积、化学气相沉积、氧化/扩散、清洗、退火等半导体工艺装备;平板显示制造装备和气体质量流量控制器等核心零部件。涉及集成电路、先进封装、LED、MEMS、电力电子、平板显示、光伏电池等半导体相关领域。集成电路装备面向逻辑、存储、功率、先进封装等多领域拓展,刻蚀机、PVD、CVD、ALD、立式炉、清洗机等多款新产品进入主流产线。逻辑领域主流芯片产线批量采购公司设备;存储领域多种新型工艺设备进入产线验证;先进封装领域实现多产品系列布局,与国内主要封装厂均建立了合作关系;功率器件领域与国内主流厂商开展深度合作,成为业内主流厂商重要设备供应商。泛半导体领域,公司新能源光伏、半导体照明、第三代半导体设备持续进行产品迭代更新,光伏 TOPCon 关键工艺设备批量供应市场,Mini/MicroLED工艺设备进入主流产线,第三代半导体设备实现批量销售。

盛美上海作为国内半导体清洗设备的行业龙头企业,主要产品为集成电路领域的单片清洗设备,其中包括单片 SAPS 兆声波清洗设备、单片TEBO兆声波清洗设备、单片背面清洗设备、单片刷洗设备、槽式清洗设备和单片槽式组合清洗设备等,产品线较为丰富。2021 年 3 月,公司新发布了高速铜电镀技术,拓展了立式炉半导体设备产品组合以及支持逻辑、存储器和功率器件制造工艺的更多应用;5 月,公司 SAPS 兆声波清洗技术项目荣获2020年上海市科技进步一等奖;8 月,公司步入湿法边缘刻蚀领域,新产品支持3DNAND、DRAM和先进逻辑制造工艺,还发布了首台应用于化合物半导体制造中晶圆级封装和电镀应用的电镀设备;9 月,公司用于先进逻辑、DRAM 和 3D-NAND 半导体制造的300mm单片高温SPM设备已交货;10 月,公司湿法设备 2000 腔顺利交付。公司产品出货全年超过170 台,公司的清洗技术及设备已经可以覆盖 80%以上的清洗工艺,镀铜设备出货量达到20 台,炉管设备出货量达到 8 台,平台化公司已初具规模。

至纯科技是国内能提供到 28 纳米节点全部湿法工艺的本土供应商。主要产品包含湿法槽式清洗设备及湿法单片式清洗设备。2021 年,公司拿到13 位用户的重复订单,期间又开拓了 10 位新用户,均为行业内主要的晶圆制造企业,全年湿法设备的订单达到了11.20亿元,同比增长 111.32%。2021 年公司的单片湿法设备和槽式湿法设备全年出机超过了97台。同时,12 英寸湿法设备新增订单金额超过 6 亿元,其中单片式湿法设备新增订单金额超过3.8亿元。公司湿法设备已经在数个成熟工艺的产线上拿到了整条线的设备订单,有效替代了之前的两家日本厂商;公司还在氮化镓和碳化硅产线上拿到了整条线的湿法设备订单;在先进制程的 28 纳米节点获得全部工艺的设备订单;在 14 纳米以下制程也拿到了4 台湿法设备订单。2022年,公司的新增订单目标为超过 40 亿元,其中湿法设备的新增订单目标为超过20亿元,新增订单中预估单片设备会占到 60%。

芯源微主要从事半导体专用设备的研发、生产和销售,产品包括光刻工序涂胶显影设备(涂胶/显影机、喷胶机)和单片式湿法设备(清洗机、去胶机、湿法刻蚀机),可用于 6 英寸及以下单晶圆处理(如 LED 芯片制造环节)及8/12 英寸单晶圆处理(如集成电路制造前道晶圆加工及后道先进封装环节)。1)在前道涂胶显影领域,作为国产化设备已逐步得到了应用,实现了小批量替代。公司生产的 offline 涂胶显影机已批量销售,I-line 涂胶显影机已通过部分客户验证并进入量产销售阶段、KrF 涂胶显影机已经通过客户ATP 验收;2)在前道物理清洗领域,公司已掌握前道物理清洗机 28nm 工艺节点的核心技术,包括内部微环境控制、晶圆双面颗粒清洗、高速夹持旋转主轴、药液流量控制、二流体低损伤清洗等关键技术。公司该类设备已经达到国际先进水平并成功实现国产替代;3)在后道先进封装领域,公司生产的后道涂胶显影设备和单片式湿法设备作为主流机型已批量应用于台积电、长电科技、华天科技、通富微电、晶方科技、中芯绍兴、中芯宁波等国内一线大厂,目前已经成为客户端的主力量产设备。

中微公司是一家高端半导体微观加工设备公司,深耕芯片制造刻蚀领域,研制出了国内第一台电介质刻蚀机,核心产品包括:1)用于IC 集成电路领域的等离子体刻蚀设备(CCP、ICP)、深硅刻蚀设备(TSV);2)用于 LED 芯片领域的MOCVD设备。目前公司等离子体刻蚀设备已被广泛应用于国际一线客户从 65 纳米到 14 纳米、7 纳米和5 纳米的集成电路加工制造及先进封装。公司的 MOCVD 设备在行业领先客户的生产线上大规模投入量产,公司已成为世界排名前列、国内占主导地位的氮化镓基 LED 设备制造商。

拓荆科技拓荆科技主要从事高端半导体专用设备的研发、生产、销售和技术服务。公司主要产品包括等离子体增强化学气相沉积(PECVD)设备、原子层沉积(ALD)设备和次常压化学气相沉积(SACVD)设备三个产品系列,已广泛应用于国内晶圆厂14nm及以上制程集成电路制造产线,并已展开 10nm 及以下制程产品验证测试。

上海微电子装备(集团)股份有限公司是一家掌握了高端光刻机相关技术且具有高端投影光刻机生产能力的企业。于 2017 年 3 月份,与全球领先的半导体设备企业ASML签署了战略合作备忘录。公司主要从事半导体装备、泛半导体装备、高端智能装备的开发、设计、制造、销售及技术服务。2 月 7 日,上海微电子发布公告,公司已顺利交付2.5D\3D先进封装光刻机,各品类国产设备厂商进入流片环节,产线不断通过验证。

(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)

精选报告来源:【未来智库】。未来智库 - 官方网站

相关问答

电子炉的开关芯片的好坏?

电磁炉电源转换芯片测量好坏,大致的方法如下:1、需要先确定这种芯片各针脚的功能和定义2、检测电源输出脚是否有正常电压,判断有无断路3、测量对地阻值,判...

焊锡炉焊接芯片?

1、焊接工艺规范的目的:对焊接过程进行有效控制,做到技术先进、经济合理、安全适用、确保质量。2、生产用具、原材料:焊锡炉、夹子、刮刀、插好元器件的...

做手机芯片的机器?

最主要的是光刻机,还有蚀刻机等。制造芯片所需要的设备包含了光刻机、等离子蚀刻机、离子注入机、反应离子刻蚀系统、单晶炉、晶圆划片机、晶片减薄机和气相...

自产芯片龙头股?

兆易创新(603986),国产芯片存储龙头股票,作为国产芯片行业中的龙头,兆易创新位列全球市场的前三位,随着美日公司的退出,市场份额得到了不断提高,存储价格也在...

芯片的寿命一般有多长时间?芯片寿命到了以后,是全部功能失效,还是部分功能失效?

芯片这个词太笼统,怎么可以一一来确定寿命呢?我们就拿生活中最常见的,集成度最高,技术最难的中央处理器芯片来说,至少也有四种,电脑,笔记本,平板与手机C...芯片...

生物芯片一般是如何工作的?

生物芯片是由分子生物学、微电子学、激光、化学染料等领域综合开发的科学技术。生物芯片就像电脑芯片一样,可以存储大量的生物信息。以DNA芯片制造和应用为例...

手机开不了机芯片坏了怎么办?

2)手机重启后,按照系统引导激活手机。成功重启,进入选择语言界面。3)按照系统引导激活手机。成功激活手机,成功修复芯片损坏4)成功进入桌面,服务结束...

除了光刻机还有其他设备可以生产芯片吗?

根据除了光刻机,还有其他设备可以生产芯片。1,因为在芯片制造过程中,除了光刻机用于图案的转移,还需要其他设备来完成不同的工序,如清洗设备、离心机、蒸发...

芯片底座报价是多少?_土巴兔装修问答

芯片底座报价现在一个6分钱左右,慈溪蓝翎电子有限公司是一家集生产加工、经销批发的有限责任公司,排针排母,IC测试座,牛角DC2/简易牛角DC3,线路板插...

天燃燃气炉说明书?

1、即使寒冷的冬季不使用热水/采暖热水器,也为了启动防冻结装置,电源需插座上,或将供暖系统内的水放净,才可关闭电源。2、在使用时,首先要注意压力一...水温...

 扫二维码签到  秋天第一杯奶茶52块钱 
王经理: 180-0000-0000(微信同号)
10086@qq.com
北京海淀区西三旗街道国际大厦08A座
©2024  上海羊羽卓进出口贸易有限公司  版权所有.All Rights Reserved.  |  程序由Z-BlogPHP强力驱动
网站首页
电话咨询
微信号

QQ

在线咨询真诚为您提供专业解答服务

热线

188-0000-0000
专属服务热线

微信

二维码扫一扫微信交流
顶部